Presentation + Paper
25 May 2022 Automated, high throughput optimization of multistep and cyclic etch and deposition processes using SandBox Studio AI
Kara Kearney, Leandro Medina, Roger T. Bonnecaze, Meghali J. Chopra
Author Affiliations +
Abstract
The development of new technologies and advanced nodes is capitally intensive due to process design strategies that involve dependent unit processes with different yields and performances. This has led to the exploration of model-based optimization to cut the cost and time of recipe creation; however, computational optimization of semiconductor processes is quite challenging due to multi-dimensional parameter spaces and limited experimental data. SandBox Studio™ AI is a computational tool that automatically builds a hybrid physics-based and machine learning model that can be used to predict optimal process recipes and explore novel process changes such as different incoming mask geometries and step durations. Herein, we show the utilization of SandBox Studio™ AI to build a computational representation of a cyclic etch and deposition process of a high aspect ratio channel etch with the following detrimental effects – bowing, resist over-etching, clogging via deposition, and twisting. The model was calibrated to a synthetic data set of thirteen experiments with five varying process parameters. Then, an optimal recipe was predicted that minimized the observed detrimental effects. The model was then used to explore different incoming mask geometries and step durations to improve the recipe even further. This capability is made possible by the software’s foundational physics-based model and is not possible using conventional statistics and machine learning based tools.
Conference Presentation
© (2022) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Kara Kearney, Leandro Medina, Roger T. Bonnecaze, and Meghali J. Chopra "Automated, high throughput optimization of multistep and cyclic etch and deposition processes using SandBox Studio AI", Proc. SPIE 12056, Advanced Etch Technology and Process Integration for Nanopatterning XI, 1205608 (25 May 2022); https://doi.org/10.1117/12.2614284
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

Calibration

Artificial intelligence

Data modeling

Deposition processes

Machine learning

Physics

Back to Top