Paper
16 March 2015 EUV lithography optics for sub-9nm resolution
Author Affiliations +
Abstract
EUV lithography for resolution below 9 nm requires the numerical aperture of the projection optics to be significantly larger than 0.45. A configuration of 4x magnification, full field size and 6’’ reticle is not feasible anymore. The increased chief ray angle and higher NA at reticle lead to non-acceptable shadowing effects, which can only be controlled by increasing the magnification, hence reducing the system productivity. We demonstrate that the best compromise in imaging, productivity and field split is a so-called anamorphic magnification and a half field of 26 x 16.5 mm². We discuss the optical solutions for anamorphic high-NA lithography.
© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Bernhard Kneer, Sascha Migura, Winfried Kaiser, Jens Timo Neumann, and Jan van Schoot "EUV lithography optics for sub-9nm resolution", Proc. SPIE 9422, Extreme Ultraviolet (EUV) Lithography VI, 94221G (16 March 2015); https://doi.org/10.1117/12.2175488
Lens.org Logo
CITATIONS
Cited by 23 scholarly publications and 3 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Reticles

Photomasks

Projection systems

Extreme ultraviolet lithography

Diffraction

Mirrors

Semiconducting wafers

RELATED CONTENT

Anamorphic high-NA EUV lithography optics
Proceedings of SPIE (September 04 2015)
Flare evaluation of ASML alpha demo tool
Proceedings of SPIE (March 17 2009)
Nikon EUVL development progress update
Proceedings of SPIE (March 26 2008)
Static EUV micro-exposures using the ETS Set-2 optics
Proceedings of SPIE (June 16 2003)

Back to Top