We present a comprehensive investigation into DSA materials and process development for P24 EUV lithography with the objective of mitigating DSA defects and enhancing the smoothness of DSA-defined patterns. We conduct a comparative assessment of the quality of DSA-rectified patterns between PS-b-PMMA and high Chi BCP. Furthermore, we explore resist planforms other than CAR for creating DSA guiding patterns.
Background: Patterning of very tight pitches suffers from stochastic variations that can impact yield. Different patterning processes with lower stochastic variations are preferred when those lower variations have a quantifiable benefit in terms of device yield or performance. Aim: Here two different process flows, a traditional EUV patterning flow and one involving directed self-assembly (DSA) rectification, will be compared to determine the differences expected in device failure rates, with the failure mechanism being the shorting of a via hole to the wrong feature. Approach: These device failure rates will be based on a rigorous edge placement error (EPE) model taking stochastic variations into account, leading to predictions of device failure and the definition of an overlay process window (OPW): the range of overlay errors that keeps the device failure rate above a minimum specified value. Results: For the patterning of 18 nm pitch line/space patterns contacted with 12 nm wide vias, the EUV process flow produces a 2.5 nm OPW, while the DSA rectification process expands that OPW significantly to 4.0 nm. Conclusions: Using a rigorous EPE modeling approach fed by accurate stochastics measurements, the significant benefits of the DSA rectification process have been quantified.
Patterning variability at tight metal pitch leads to a high risk for reliability failures. In 2023, we (Intel) demonstrated a novel EUV-based multi-patterning process enhanced by directed self-assembly (DSA) to scale metal pitch to 18 nm and below along with electrical validation data. The DSA process rectifies systematic and random variations in EUV patterns at 60% lower dose and in combination with spacer-aligned double patterning results in low-defectivity and low- variability metal patterns at the tightest pitch. Here we go further and demonstrate design flexibility, tone-inversion capability to enable subtractive metallization, sub-20nm metal end-to-ends, via and line resistances in line with model expectations, robust end-of-line standard cell chain and comb yields, and world-first demonstration of robust reliability data with matched dielectric breakdown and electromigration to a mature process at looser pitch.
Optical lithography is key to enabling the technologies we use daily, driving increased performance while maintaining affordability. This is largely due to the ability of optical lithography to transfer trillions of mask features to wafers at defect densities approaching virtually zero in high-volume manufacturing (HVM). The talk will begin with a comprehensive overview of how state-of-the art cell architecture choices affect pitch scaling. For instance, there is a widely-held misconception that novel cell architectures such as transistor stacking deliver cell size scaling without the need for (interconnect) pitch scaling. However, since the number of terminals or interconnects increases with the number of gates, transistor stacking still requires continued pitch scaling. The talk will then focus on the four major challenges state-of-the-art patterning has to meet and recent progress made in these areas: (i) ability to print tight-pitch features, (ii) ability to accurately align tight-pitch features, (iii) ability to deal with pattern variations induced by photon and resist stochastics, and (iv) ability to enable flexible design rules. While EUV lithography with NA 0.33 can now routinely print sub 30 nm pitch patterns and EUV high-NA micro exposure tools print sub 20 nm pitch patterns, variations in the critical dimensions (CD) caused by photon and resist stochastics require not only continued innovation in photoresist design but also complementary lithographic approaches. One example for a powerful complementary lithography technique is directed self-assembly (DSA). DSA produces dense, periodic features with low defect numbers and with pattern uniformity not readily achieved by optical lithography alone. DSA offers a fundamental advantage over optical lithography since the line and space CDs are chemically encoded into each molecule with unprecedented accuracy. We will report on recent progress made for a process flow based on DSA that rectifies complex, multi-pitch and multi-CD EUV patterns and the focused, decade-long material and process flow development required to achieve HVM-grade materials, low integrated defects and compatibility with standard design rules. The talk will conclude with an appeal and challenge to academia and research consortia alike to vigorously pursue material and patterning innovation that complements optical lithography. Novel complementary patterning approaches such as DSA, if properly resourced and developed, will ensure that Moore’s Law scaling will continue in the foreseeable future.
Due to their increasingly complex 3D geometries, upcoming gate all around (GAA) devices pose new metrology challenges for which there is not yet any established HVM metrology solution, in particular for various critical timed etch steps [5]. Soft x-ray (SXR) scatterometry using 10-20 nm wavelength light is a promising next-generation metrology technique for 3D profile metrology and overlay (OVL) applications. This wavelength regime offers unique benefits over existing metrology techniques today: (1) Short wavelengths allow for higher resolution measurements than traditional visible wavelengths could offer, enabling measurement of structures at device pitches. (2) Primarily single scattering yields low correlation between parameters and aids physical interpretation of signals. This enables many parameters of interest to be extracted accurately and simultaneously. (3) SXR provides 3D capability, with stack heights up to 400 nm supported and high depth resolution due to the broadband source and sensor. These properties together make SXR suitable for measuring the 3D profiles of advanced devices such as gate all around (GAA) transistors, as well as after develop (ADI) overlay at device pitch. In this paper, we demonstrate SXR for profile metrology of GAA devices. We show sensitivity to average SiGe lateral recess etch depth as well as individual nanosheet critical dimensions, which cannot be reliably accessed by other nondestructive, inline metrology techniques available today. We furthermore demonstrate sensitivity in ADI OVL measurements directly on device-pitch structures in the presence of an underlying patterned nuisance layer.
As feature sizes shrink, state-of-the-art patterning has to meet three critical challenges: The ability to print patterns at tight pitch, the ability to accurately place patterns with respect to each other (edge placement error or EPE control) and the ability to deal with pattern variations induced by photon and resist stochastics. While extreme-UV lithography (EUV) with NA 0.33 can now routinely print sub 30 nm pitch line and space patterns and improve pattern placement by reducing the number of required overlay steps, the industry needs to invent complementary patterning techniques for continued pitch scaling with EUV. Complementary patterning methods like spacer-based pitch division have allowed the industry to break the Rayleigh resolution limit of 193 nm wavelength immersion lithography and pitch division of EUV patterns will allow the industry to scale pitch well below 20 nm. However, as the target pitch scales, variations in the critical dimensions (CD) of line and space patterns caused by photon and resist stochastics will absorb a significant portion of the EPE budget. Directed self-assembly (DSA) is a powerful patterning technique to pattern dense, periodic features with low number of defects and with pattern uniformity not readily achieved by optical lithography alone. DSA offers a fundamental EPE advantage over spacer-based pitch division since the line and space CDs are chemically encoded into each molecule with unprecedented accuracy. Additionally, ease of pitch scaling and low process complexity are well-documented features of DSA processing. However, since the pitch of the DSA-defined line/space pattern is determined by the molecular weight of the polymer, we have been unable to deploy DSA to flexible design rule applications that require multiple pitches and multiple CDs. In this paper we will report on process flows that use DSA to rectify a complex, multi-pitch and multi-CD pre-patterns printed with EUV. We will describe how material selection, EUV exposure, and processing conditions impact the type of complex patterns that can be rectified and highlight opportunities for materials development to make this powerful complementary patterning technology become a reality.
Despite years of research and development, the fundamental processes of photoionization, secondary electron generation, recombination, diffusion, and resist switching are poorly understood at the atomic level for EUVL. Multiscale modeling of these physical and chemical processes can provide answers to questions that are difficult or impossible to answer with experiment alone. A modeling pipeline that includes Monte Carlo modeling of photon- and electron-matter interactions, along with density functional theory calculations of chemical switching will be introduced in this proceeding. The Hf4O2(OMc)12 nanocluster resist will be presented as a case study. Photon and secondary electron yields, electron energy and spatial distributions, and a quantum chemical pathway for negative tone switching will be presented. Fundamental learning from studies like this can be used to improve resist design including improving contrast of these materials.
The self-assembling behavior of thermally annealed PS-b-PMMA block copolymer derivatives (GEN2 BCPs) was evaluated using a substrate modified by a random copolymer, commonly called a ‘brush’. Similar to PS-b-PMMA, surface modification using the random copolymer brush served as an effective technique for controlling the domain orientation of the GEN2 BCP and yielded aligned features with pitches below 24nm. Non-preferential and weakly preferential random copolymers were also defined and applied to DSA using a graphoepitaxial approach. Finally, a Dry Development Rinse Process (DDRP)[1] was tested as a method to prevent pattern collapse and improve pattern transfer for GEN2 BCPs.
Pattern collapse currently limits the achievable resolution of the highest resolving EUV photoresists available. The causes of pattern collapse include the surface tension of the rinse liquid and the shrinkage of the resist pattern during the drying step. If these collapse mechanisms can be successfully mitigated with process approaches that do not require changes to the resist itself, the ultimate resolution of existing EUV resists can be improved. Described here is a dry development rinse process, applicable to existing EUV photoresists, which prevents pattern collapse to both improve ultimate resolution and the process window of currently resolvable features. Reducing the burden of collapse prevention on the resist also allows improvements in line width roughness (LWR) and cross section profile and provides additional degrees of freedom for future resist design.
Recently, both PSI1 and ASML2 illustrated champion EUVL resolution using slow, non-chemically amplified inorganic resists. However, the requirements for EUVL manufacturing require simultaneous delivery of high resolution, good
sensitivity, and low line edge/width roughness (LER/LWR) on commercial grade hardware. As a result, we believe that
new classes of materials should be explored and understood. This paper focuses on our efforts to assess metal oxide based
nanoparticles as novel EUV resists3. Various spectroscopic techniques were used to probe the patterning
mechanism of these materials. EUV exposure data is presented to investigate the feasibility of employing inorganic
materials as viable EUV resists.
Earlier [1, 2] work highlighted an integrated process for electrically functional 12 nm half-pitch copper interconnects in an ultralow-k interlayer dielectric (ILD). In this paper, we focus on understanding and reducing undesired effects such as pattern asymmetry/distortion, and line undulation/ collapse. Key defect modes and possible solution paths are discussed. Line undulation can occur when the ILD feature changes shape under the stress of the sacrificial hard mask(s) (HM) during patterning, resulting in “wavy” instead of straight features. The amount of undulation is directly related to mechanical properties such as elastic modulus, residual stresses of patterned HMs and the ILD, as well as the dimensions and aspect ratio of the features. Line collapse is observed post wet-clean processing when one or more of the following is true - Insufficient ILD mechanical strength, excessive pattern aspect ratio, or non-uniform drying. Pattern asymmetry, or unequal critical dimensions (CD) of trenches defined by the same backbone, is a typical problem encountered during spacer-based pitch division. In pitch quartering (P/4), three different trench widths result from small variations in backbone lithography, spacer CD and etch bias. Symmetric patterning can be achieved through rigorous control of patterning processes like backbone definition, spacer deposition and downstream etches. Plasma-based ash and energetic metal deposition were also observed to degrade patterning fidelity of ultra low-k film, and also need to be closely managed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.