As 193-nm immersion lithography is extended indefinitely to sustain technology roadmaps, there is increasing pressure to contain escalating lithography costs by identifying patterning solutions that can minimize the use of multiple-pass processes. Contact patterning for the 32/28-nm technology nodes has been greatly facilitated by the just-in-time introduction of new process enablers that allow the support of flexible foundry-oriented ground rules alongside high-performance technology, without inhibiting migration to a single-pass patterning process. The incorporation of device-based performance metrics, along with rigorous patterning and structural variability studies, was critical in the evaluation of material innovation for improved resolution and CD shrink. Additionally, novel design changes for single patterning incorporating mask optimization efforts, along with new capability in data preparation, were assessed to allow for minimal impact of implementation of a single patterning contact process late in the 32-nm and 28-nm development cycles. In summary, this paper provides a comprehensive study of what it takes to turn a contact-level double-patterning process into a single-patterning process consisting of design and data manipulation, as well as wafer manufacturing aspects, together with many results.
The paper describes a process/design co-optimization effort based on an SRAM design to enable a single exposure
contact process for the 28nm technology half node.
As a start, a change to the wiring concept of the standard SRAM design was implemented. The resulting individual
contact layer elements may seem even more resolution critical to the casual observer. But in reality, the flexibility for
source-mask optimization had been significantly improved. In a second step, wafer targets and mask dimension options
(using various kinds of OPC methods and SRAF strategies) were run through several optimization iterations. This
included interlevel considerations due to stringent overlap requirements. Several promising SRAM design as well as
mask options were identified and experimentally verified to finally converge to an optimum mask and wafer target
layout. Said optimum solution still supports an automated OPC approach using standard EDA tools and off the shelf
OPC strategies.
In a last step, a 1Mbit electrically testable SRAM was designed and manufactured together with alternative SRAM
designs and process options.
After explaining the changes to the wiring of the SRAM design, the paper discusses in great detail various mask
optimization solutions and their consequences on wafer target and printability. Simulation and experimental results are
compared and the concluding optimized solution is explained. Furthermore, some key lithography and etch process
elements that became the single exposure process enabler are explained in more detail. Finally, the paper will take a look
at electrical results of the 1Mbit electrically testable SRAM as the ultimate proof of concept.
Source-mask optimization (SMO) in optical lithography has in recent years been the subject of increased
exploration as an enabler of 22/20nm and beyond technology nodes [1-6]. It has been shown that intensive
optimization of the fundamental degrees of freedom in the optical system allows for the creation of non-intuitive
solutions in both the source and mask, which yields improved lithographic performance. This paper
will demonstrate the value of SMO software in resolution enhancement techniques (RETs). Major benefits
of SMO include improved through-pitch performance, the possibility of avoiding double exposure, and
superior performance on two dimensional (2D) features. The benefits from only optimized source, only
optimized mask, and both source and mask optimized together will be demonstrated. Furthermore, we
leverage the benefits from intensively optimized masks to solve large array problems in memory use models
(MUMs). Mask synthesis and data prep flows were developed to incorporate the usage of SMO, including
both RETs and MUMs, in several critical layers during 22/20nm technology node development.
Experimental assessment will be presented to demonstrate the benefits achieved by using SMO during
22/20nm node development.
The semiconductor industry faces a lithographic scaling limit as the industry completes the transition to 1.35 NA
immersion lithography. Both high-index immersion lithography and EUV lithography are facing technical
challenges and commercial timing issues. Consequently, the industry has focused on enabling double patterning
technology (DPT) as a means to circumvent the limitations of Rayleigh scaling. Here, the IBM development
alliance demonstrate a series of double patterning solutions that enable scaling of logic constructs by decoupling
the pattern spatially through mask design or temporally through innovative processes. These techniques have been
successfully employed for early 32nm node development using 45nm generation tooling. Four different double
patterning techniques were implemented. The first process illustrates local RET optimization through the use of a
split reticle design. In this approach, a layout is decomposed into a series of regions with similar imaging
properties and the illumination conditions for each are independently optimized. These regions are then printed
separately into the same resist film in a multiple exposure process. The result is a singly developed pattern that
could not be printed with a single illumination-mask combination. The second approach addresses 2D imaging
with particular focus on both line-end dimension and linewidth control [1]. A double exposure-double etch (DE2)
approach is used in conjunction with a pitch-filling sacrificial feature strategy. The third double exposure process,
optimized for via patterns also utilizes DE2. In this method, a design is split between two separate masks such that
the minimum pitch between any two vias is larger than the minimum metal pitch. This allows for final structures
with vias at pitches beyond the capability of a single exposure. In the fourth method,, dark field double dipole
lithography (DDL) has been successfully applied to BEOL metal structures and has been shown to be overlay
tolerant [6]. Collectively, the double patterning solutions developed for early learning activities at 32nm can be
extended to 22nm applications.
As SRAM arrays become lithographically more aggressive than random logic, they are more and more
determining the lithography processes used. High yielding, low leakage, dense SRAM cells demand fairly
aggressive lithographic process conditions. This leads to a borderline process window for logic devices.
The tradeoff obtained between process window optimization for random logic gates and dense SRAM is
not always straightforward, and sometimes necessitates design rule and layout modifications. By delinking
patterning of the logic devices from SRAM, one can optimize the patterning processes for these devices
independently. This can be achieved by a special double patterning technique that employs a combination
of double exposure and double etch (DE2). In this paper we show how a DE2 patterning process can be
employed to pattern dense SRAM cells in the 45nm node on fully integrated wafers, with more than
adequate overlap of gate line-end onto active area. We have demonstrated that this process has adequate
process window for sustainable manufacturing. For comparison purpose we also demonstrate a single
exposure single etch solution to treat such dense SRAM cells. In 45nm node, the dense SRAM cell can also
be printed with adequate tolerances and process window with single expose (SE) with optimized OPC. This
is confirmed by electrical results on wafer. We conclude that DE2 offers an attractive alternative solution to
pattern dense SRAM in 45nm and show such a scheme can be extended to 32nm and beyond. Employing
DE2 lets designers migrate to very small tip-to-tip distance in SRAM. The selection of DE2 or SE depends
on layout, device performance requirements, integration schemes and cost of ownership.
Double patterning lithography processes can offer significant yield enhancement for challenging circuit designs. Many
decomposition (i.e. the process of dividing the layout design into first and second exposures) techniques are possible, but
the focus of this paper is on the use of a secondary "cut" mask to trim away extraneous features left from the first
exposure. This approach has the advantage that each exposure only needs to support a subset of critical features (e.g.
dense lines with the first exposure, isolated spaces with the second one). The extraneous features ("printing assist
features" or PrAFs) are designed to support the process window of critical features much like the role of the subresolution
assist features (SRAFs) in conventional processes. However, the printing nature of PrAFs leads to many more
design options, and hence a greater process and decomposition parameter exploration space, than are available for
SRAFs.
A decomposition scheme using PRAFs was developed for a gate level process. A critical driver of the work was to
deliver improved across-chip linewidth variation (ACLV) performance versus an optimized single exposure process
while providing support for a larger range of critical features. A variety of PRAF techniques were investigated by
simulation, with a PrAF scheme similar to standard SRAF rules being chosen as the optimal solution [1].
This paper discusses aspects of the code development for an automated PrAF generation and placement scheme and the
subsequent decomposition of a layout into two mask levels. While PrAF placement and decomposition is straightforward
for layouts with pitch and orientation restrictions, it becomes rather complex for unrestricted layout styles. Because this
higher complexity yields more irregularly shaped PrAFs, mask making becomes another critical driver of the optimum
placement and clean-up strategies. Examples are given of how those challenges are met or can be successfully
circumvented. During subsequent decomposition of the PrAF-enhanced layout into two independent mask levels, various
geometric decomposition parameters have to be considered. As an example, the removal of PrAFs has to be guaranteed
by a minimum required overlap of the cut mask opening past any PrAF edge. It is discussed that process assumptions
such as CD tolerances and overlay as well as inter-level relationship ground rules need to be considered to successfully
optimize the final decomposition scheme. Furthermore, simulation and experimental results regarding not only ACLV
but also across-device linewidth variation (ADLV) are analyzed.
Double exposure lithography processes can offer a significant yield enhancement for challenging circuit designs. Many
decomposition techniques (i.e. the process of dividing the layout design into first and second exposures) are possible, but
the focus of this paper is on the use of a secondary "cut" mask to trim away extraneous features left from the first
exposure. This approach has the advantage that each exposure only needs to support a subset of critical features (e.g.
dense lines with the first exposure, isolated spaces with the second one). The extraneous features ("printing assist
features" or PrAFs) are designed to support the process window of critical features much like the role of the sub-resolution
assist features (SRAFs) in conventional processes. However, the printing nature of PrAFs leads to many more
design options, and hence a greater process exploration space, than are available for SRAFs.
A decomposition scheme using PrAFs was developed for a gate level process. A critical driver of the work was to
deliver improved across-chip linewidth variation (ACLV) performance versus an optimized single-exposure process. A
variety of PrAF techniques were investigated, including block type features, variable-pitch PrAFs, and constant assist-to-feature
spacing (similar to SRAF placement). A PrAF scheme similar to standard SRAF rules was chosen as the optimal
solution. The resulting ACLV benefits occurred mainly in the intermediate pitch range. For dense pitches, the ACLV
was mostly unchanged, since in that regime neither process used assist features. The PrAF process showed a benefit of
10-44% improvement of ACLV in the mid-range pitches, but up to 18% worse ACLV for isolated pitches. Thus, the
optimal double exposure solution was a combination of SRAFs and PrAFs that achieved the ACLV benefits of both.
This paper addresses a challenge to the concept of process window OPC (PWOPC) by investigating the dimensional control of effectively non-printing features to improve the process window (PW) of the primary layout. It is shown based on a double exposure (DE) alternating phase-shift mask (altPSM) process that neglecting the impact of final mask dimensions forming intermediate images in resist (which are subsequently removed with a second exposure) potentially leads to a significant variation in the available focus budget of neighboring linewidth-critical feature dimensions. Various rules-based and model-based options of introducing virtual OPC targets into the OPC flow are discussed as an efficient mean to allow the OPC to take process window considerations into account. The paper focuses especially on the mechanics of how in detail those virtual targets support the beneficial OPC convergence of affected edges. Finally, experimental proof is shown that introducing non-printing, virtual targets being considered as actual targets during OPC ensures enhanced through focus line width stability and hence making the OPC solution well aware of process window aspects.
The paper describes the advantages of optical proximity correction (OPC) based on defocus data instead of best focus data. By additionally acepting asymmetric variations of the dimension of different patterns e.g. for an isolated line that can become wider than its nominal width this method can deliver structures much more robust against opens and shorts than in the standard OPC approach which is based on data taken at best process conditions. The differences of both OPC methods are compared based on simulations and checked against experimental data of characteristic IC patterns.
The industry roadmap for IC manufacturing at design rules of 90nm and below foresees low k1-factor optical lithography at 193nm exposure wavelength. Aggressive model-based OPC and Phase Shift Mask technology are being used more and more frequently in order to achieve the extremely tight mask CD specifications required by 90nm technology node. State-of-the-art mask inspection is challenged to detect CD defects close to metrology resolution. Inspection of OPC and PSM masks is critical; OPC feature dimensions are usually near or below the resolution limits of mask exposure. In addition, chrome defects can be semitransparent and change the intensity of light on the wafer. In this paper aerial-image based mask inspection is investigated and presented. The concept inspects a given mask based on its aerial image with selected wafer exposure conditions, thus 'finds only defect which will print'. This paradigm shift in mask inspection philosophy provides the unique opportunities of verifying and controlling the entire aerial image generated by the inspected mask. As reticle enhancement techniques like OPC and EAPSM are designed to enhance the aerial image of a mask, this concept offers a comprehensive way of inspecting these techniques. The focus of the inspection is shifted from detecting every single minor change on mask to detecting what on mask could possibly impact the printing image quality on the wafer. The focus of the paper is to analyze the impact of different exposure and lithography process conditions onto the inspection sensitivity. The standard defect sensitivity and runability test mask UIS10 and other advanced real production masks were printed under different exposure and process conditions resembling production-worthy 193nm lithography processes. The masks then were inspected using Etec's aerial image-based inspection concept. Detection sensitivities and CD variations on the wafer are analyzed and compared.
The continuous tightening of CD and registration specifications demands most advanced metrology equipment and highly sophisticated logistics and measurement strategies. Not only the smallness of structures but also the increasing number of measurement sites is a challenge. Until recently, CD measurements in mask mass production were done at a handful of different positions using mainly optical microscopy. The measurement locations were usually picked randomly according to the visual image and some general rules that were agreed upon between lithographers and the mask shop.
In this paper we describe a flow for SEM based CD measurement in automated production. A new type of instances is introduced solely to provide a simple and effective way for transferring the desired measurement locations from design to a mask shop. Therefore, we use the new CATS features that allow highly automated and flexible off-line preparation of measurement jobs. On the KLA-Tencor 8250-R CD-SEM we furthermore utilize its capability of converting CATS output files into fully functional SEM measurement jobs with large numbers of sites and multiple steps of pattern recognition. A comparison of results obtained with the CATS jobs with those of native SEM jobs proves the consistency of data.
Small structure sizes in the order of half the exposure wavelengths on wafers are nowadays accomplished with optical enhancement methods. Instead of COG the semi-transparent halfton reticles are used to reach a sufficient process window for the production of smaller memory products at low k1. In the semitransparent halftone material (MoSi) the intensity of the incident light is reduced to 6% and the phase is shifted by half of the wavelength (180 degree(s)). In this study halftone PSM for 248nm and 193nm wavelength with programmed defects of different sizes in lines/spaces (l/s) and brick stone structures were examined. With inspection, repair and print tests valid criteria for critical defect sizes were found. The defects were all analyzed with a Zeiss Aerial Image Measurement System (AIMS) and characterized with a mask SEM. Several defects were repaired using a FIB. Finally, this halftone PSM was printed and the defects were analyzed by a wafer SEM. The sizes of the programmed defects were distributed from printing to not printing. Critical defect sizes were clearly defined and the sensitivity of inspection tools for photomasks (KLA and Orbot Aris-i) could be checked.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.