The silicon hardmask (Si-HM) is one of the key materials used in multilayer lithography for pattern transfer to a substrate using a fluorinated plasma etching process. Manufacturing of devices with smaller feature sizes introduces new challenges in defect control of all the critical layers, including the Si-HM layer used in photolithography. One of the major challenges of Si-HM materials includes intrinsic defect formations, which can be exacerbated by the presence of foreign contaminants such as soft and hard particles, organics, and metal-ionic contaminants. These contaminants are also known to induce defects by interfering with the plasma etch processes used in advanced patterning technologies. The contaminants can range from microns to angstroms in size. The identification and characterization of the defect adders is important to develop filtration methods capable of minimizing the number of on-wafer defects and consequently improving the quality. In this study, metal contaminants, liquid particle count and on-wafer defects of Si- HMs and filtration removal rates are monitored to determine the effect of filter type, pore size, media morphology, and cleanliness on filtration performance. 5-nm PTFE NTD2 filter having proprietary surface treatment used in this study shows lowest defect count.
Directed self-assembly (DSA) of block copolymers (BCPs) is one of the most promising patterning technologies for future lithography nodes. However, one of the biggest challenges to DSA is the pattern transfer by plasma etching from BCP to hardmask (HM) because the etch selectivity between BCP and neutral brush layer underneath is usually not high enough to enable robust pattern transfer. This paper will explore the plasma etch conditions of both BCPs and neutral brush layers that may improve selectivity and allow a more robust pattern transfer of DSA patterns into the hardmask layer. The plasma etching parameters that are under investigation include the selection of oxidative or reductive etch chemistries, as well as plasma gas pressure, power, and gas mixture fractions. Investigation into the relationship between BCP/neutral brush layer materials with varying chemical compositions and the plasma etching conditions will be highlighted. The culmination of this work will demonstrate important etch parameters that allow BCPs and neutral brush layers to be etched into the underlying hardmask layer with a large process window.
Figure would be provided
Figure 1 shows that the most important factor in lithography is foot contrast. Low contrast leaves a large region (CAT) where resist solubility is uncertain (chaotic area), which causes unresolvable patterns, LER/LWR issues, and pattern collapse (collapse may be due to affinity imbalance).
Figure 2 shows examples of a CAT plot for two stacks of low optical reflectivity and high optical foot contrast. Low reflectivity gives higher CAT, and is further deteriorated as the image approaches the forbidden pitch, while a high foot contrast stack keeps good CAT value across all pitches. Experimental results agree well with the calculations, which will be included in the full paper.
Figure 3 is a CAT contour map versus resist and Si-HM thickness for a L/P=40/100 nm pattern. Additional CAT plots will be included in the full paper.
Figure 1. Optical distribution of a 40-nm dense line cross-section and resist contrast curve for CAT calculation.
Figure 2. CAT plots comparing two stacks, low reflectivity and high foot contrast
Figure 3. CAT contour map versus resist and Si-HM thickness.
Recent innovations in device design, including FinFETs and metal gate technologies, have required similar innovation in lithographic materials and process development. Complex processes such as double patterning and multilayer imaging require new and novel material chemistries to meet the rigorous defect level requirements for successful yield. To address these complex processes, new materials for multilayer imaging, including spin-on hardmask layers and thick carbon underlayers, have been introduced. These two types of materials have different roles in the multilayer imaging scheme, and likewise the chemistries that are used in these materials are different. To evaluate the wide variety of materials, it is necessary to be able to install them on a coater-track quickly and efficiently and to ensure that the chosen filter uses the best available filtration settings to provide the best-performing material. Typically end users of point-of-use filters will install a new filter, which will be primed with the best-known method, and purge chemical until a defect baseline is reached. This study examines the interaction between a spin-on hardmask chemistry and membrane materials, examining decreasing pore size and the differential pressure increases. Under these conditions, known issues with particles, microbubbles, or oddly timed defect excursions should be able to be avoided with the proper selection and start-up of the filter. An Entegris IntelliGen® Mini dispense system with Impact® 2 filters was used to test different filtration settings on various filtration membranes and determine the best settings for each membrane type. These pumps have the capability to control differential pressure across the filter based upon its operating parameters. Results of this investigation will show that for the spin-on hardmask material, optimizing differential pressure across the filter by adjusting the IntelliGen® Mini operating parameters will ultimately reduce blanket coat defect levels. As well, reducing pore size yields a greater impact to reduction of post-coat defect counts.
Photofluid dispense systems within coater/developer tools have been designed with the intent to minimize cost of ownership to the end user. Waste and defect minimization, dispense quality and repeatability, and ease of use are all desired characteristics. One notable change within commercially available systems is the sequence in which process fluid encounters dispense pump and filtration elements. Traditionally, systems adopted a pump-first sequence, where fluid is “pushed through” a point-of-use filter just prior to dispensing on the wafer. Recently, systems configured in a pump-last scheme have become available, where fluid is “pulled through” the filter, into the pump, and then is subsequently dispensed. The present work constitutes a comparative evaluation of the two equipment sequences with regard to the aforementioned characteristics that impact cost of ownership. Additionally, removal rating and surface chemistry (i.e., hydrophilicity) of the point-of-use filter are varied in order to evaluate their influence on system start-up and defects.
The drive to smaller, less expensive, and faster devices requires radical changes in material development. The increased
material requirements drive complex processes that in turn drive equipment requirements. For the photolithography area
this demand for improved materials is seen in growing requests for device level-specific tuning of organic bottom antireflective
coatings (BARCs) or photoresists for certain imaging requirements, such as numerical aperture, immersion
conditions, and optical parameters. To test and utilize the myriad of BARC materials, there is a need to install them on a
coater-track quickly and efficiently. Installation typically requires a new filter installation, dispense line cleaning, and
usually a minimum of 8-10 L of material to clear out bubbles and other nuisance defects before coating test wafers. As
the number of materials increases, the ability to quickly prime a new filter becomes increasingly important. In this
study, the Entegris IntelliGen® Mini dispense system was utilized to test various pump priming processes to ultimately
minimize the volume purged to reach a defect baseline. In addition, the impacts of the filter media and filter retention on
priming efficiency were studied. Results show that priming processes that were not matched to the filter in use could
actually cause the defects to increase during the process, thus requiring additional purging to reach baseline, and thereby
negating any time or volume savings. Properly programmed priming recipes reduced the purging time and the purging
volume by 50-70%.
Minimizing defects in spin-on lithography coatings requires a careful understanding of the interactions between the spin-on
coating material and the filtration and dispense system used on the coating track. A wet-developable bottom anti-reflective
coating (BARC) was examined for its interaction with polyamide and UPE media when utilizing the Entegris IntelliGen Mini dispense system. In addition, a new method of priming the filter and pump is described which improves
the wetting of the filter media, preventing bubbles and other defect-generating air pockets within the system. The goal is
to establish plumb-on procedures that are material and hardware specific to avoid any defect problems in the coating
process, as well as to gain a better understanding of the chemical and physical interactions that lead to coating defects.
Liquid particle counts from a laboratory-based filtration stand are compared with on-wafer defects from a commercial
coating track to establish a correlation and allow better prediction of product performance. This comparison in turn will
provide valuable insight to the engineering process of product filtration and bottling at the source.
Semiconductor device manufacturing is one of the cleanest manufacturing operations that can be found in the world today. It has to be that way; a particle on a wafer today can kill an entire device, which raises the costs, and therefore reduces the profits, of the manufacturing company in two ways: it must produce extra wafers to make up for the lost die, and it has less product to sell.
In today's state-of-the-art fab, everything is filtered to the lowest pore size available. This practice is fairly easy for gases because a gas molecule is very small compared to the pore size of the filter. Filtering liquids, especially photochemicals such as photoresists and BARCs, can be much harder because the molecules that form the polymers used to manufacture the photochemicals are approaching the filter pore size. As a result, filters may plug up, filtration rates may drop, pressure drops across the filter may increase, or a filter may degrade. These conditions can then cause polymer shearing, microbubble formation, gel particle formation, and BARC chemical changes to occur before the BARC reaches the wafer.
To investigate these possible interactions, an Entegris(R) IntelliGen(R) pump was installed on a TEL Mk8TM track to see if the filtration process would have an effect on the BARC chemistry and coating defects. Various BARC chemicals such as DUV112 and DUV42P were pumped through various filter media having a variety of pore sizes at different filtration rates to investigate the interaction between the dispense process and the filtration process. The IntelliGen2 pump has the capability to filter the BARC independent of the dispense process. By using a designed experiment to look at various parameters such as dispense rate, filtration rate, and dispense volume, the effects of the complete pump system can be learned, and appropriate conditions can be applied to yield the cleanest BARC coating process. Results indicate that filtration rate and filter pore size play a dramatic role in the defect density on a coated wafer with the actual dispense properties such as dispense wafer speed and dispense time playing a lesser role.
The work described here produced a new method of forming microlenses which requires fewer processing steps, eliminates the need for reflow or photoresist etching steps, and can be used with an inexpensive mask to form arrays. In this new method, a strongly absorbing dye is added in high percentage to a normal positive i-line photoresist. This photoresist is then processed at a much higher exposure dose than the normal photoresist. This paper describes simulated microlens structures as predicted by PROLITH as well as actual lens structures that were produced with the new method. This newly developed method is designed to enable the formation of microlenses at significant cost savings and with increased process control.
This paper describes a new approach to help overcome the challenges of fabricating leading-edge devices by
using the trench first dual damascene process. Wet gap-fill materials are designed to reduce film thickness bias across a
wafer while keeping wafers in the same track in which they were coated. As the first process step, the wafer is coated
with a thick layer of wet gap-fill material to fill all trenches, thus guarding against resist pooling in the trenches. The
substrate is then baked to partially cure the wet gap-fill material. Standard 0.26N tetramethylammonium hydroxide
(TMAH) is then used to wet etch the wet gap-fill layer back to the substrate surface. For this study, substrates with
different trench depths and widths were processed, cross-sectioned, and measured. The effect of trench dimensions and
aspect ratio on the develop properties of WGF200-343 was investigated to see if it could be used as a wet trench-fill
material. This work will help develop a process that will allow the use of trench-first DD processing in modern
semiconductor manufacturing.
KEYWORDS: Etching, Reflectivity, Photoresist materials, Semiconducting wafers, Chemical vapor deposition, Front end of line, Back end of line, Silicon, Yield improvement, Thin film coatings
Spin on bottom anti-reflective coatings were introduced to the semiconductor industry about 20 years ago to help control substrate reflectivity, improve critical dimension (CD) control, and, most importantly, improve depth of focus window, thus improving throughput and yields. Bottom anti-reflective coating (BARC) materials are either inorganic or organic in nature. Inorganic BARCs are chemical vapor deposition (CVD) films that work on the principal of destructive interference to eliminate reflectivity and demand tight thickness control in the BARC layer. In contrast, organic BARCs are generally spin-on polymeric materials that reduce substrate reflectivity by absorbing exposure radiation to provide greater latitude in thickness control. As an added benefit, organic spin-on BARCs also provide a level of planarization efficiency prior to photoresist deposition to improve depth of focus and process window in the photolithography step. As the feature sizes continue to shrink, etching becomes very challenging due to thin ArF photoresist (PR) layers which are much less etch resistant compared to KrF photoresists. The reduced thickness, as well as the reduced etch resistance, of the PR makes it nearly impossible to use the PR as both an imaging and a pattern transfer layer. This has lead to the development of a new class of spin-on “hybrid” BARC materials which not only have improved etch selectivity to the PR due to inorganic functionality but also have the absorbing properties, and hence offer greater process latitude. Hybrid BARC (H-BARC) materials enable the BARC layer to act as both an anti-reflective coating and as a pattern transfer layer in standard etch-back integration schemes. Due to the polymeric functionality associated with H-BARCs, these materials have exceptional gap-fill and planarization properties and can also be used in via-first dual damascene applications where similar etch characteristics between interlayer dielectric materials and the via-fill BARC enable better CD control.
This paper will focus on the benefits of ENSEMBLE ARC materials, a new class of spin-on hybrid BARC materials, which can be used in either standard BARC applications or in via-first dual damascene applications which require that the BARC act both as an anti-reflective coating and as a via-fill material to assist in CD control during trench etch processes. This paper demonstrates lithography with 193-nm resists, resist compatibility, via-fill performance, optical properties, and etch rates with different plasma recipes.
Throughput of a coater module within the coater track is related to the solvent evaporation rate from the material that is being coated. Evaporation rate is controlled by the spin dynamics of the wafer and airflow dynamics over the wafer. Balancing these effects is the key to achieving very uniform coatings across a flat unpatterned wafer. As today’s coat tracks are being pushed to higher throughputs to match the scanner, the coat module throughput must be increased as well. For chemical manufacturers the evaporation rate of the material depends on the solvent used. One measure of relative evaporation rates is to compare flash points of a solvent. The lower the flash point, the quicker the solvent will evaporate. It is possible to formulate products with these volatile solvents although at a price. Shipping and manufacturing a more flammable product increase chances of fire, thereby increasing insurance premiums. Also, the end user of these chemicals will have to take extra precautions in the fab and in storage of these more flammable chemicals. An alternative coat process is possible which would allow higher throughput in a distinct coat module without sacrificing safety. A tradeoff is required for this process, that being a more complicated coat process and a higher viscosity chemical. The coat process uses the fact that evaporation rate depends on the spin dynamics of the wafer by utilizing a series of spin speeds that first would set the thickness of the material followed by a high spin speed to remove the residual solvent. This new process can yield a throughput of over 150 wafers per hour (wph) given two coat modules. The thickness uniformity of less than 2 nm (3 sigma) is still excellent, while drying times are shorter than 10 seconds to achieve the 150 wph throughput targets.
As linewidths continue to decrease in size, preventing smaller defects is becoming critical to maintaining yield. Defects that are caused during the development cycle and attach themselves to the BARC surface, such as water spots or photoresist residues, have always been a concern and have been usually removed at the expense of throughput. Various options are available to reduce these types of defects but each has disadvantages. One such example is a double puddle develop process. The disadvantage of this process is that the exposure dose may have to be changed. Another example is increasing the rinse time to several minutes with an associated reduction in throughput. This paper will discuss rinse alternatives that have been able to reduce develop type defects by up to 70% while also reducing the wafer-to-wafer variation by up to 80%. This process may have a dramatic increase in throughput by keeping the total rinse time under 20 seconds and may have minimal (less than 2% change) impact on measured linewidth. These rinse processes utilize a quick succession of changing spin speeds and accelerations that are acceptable for 300-mm wafer processing. Surfactant-containing rinse solutions designed to reduce line collapse in 193-nm photoresists were also investigated to determine their effectiveness in reducing post-develop defects in concert with the newly developed water rinse process. The rinse processes that will be discussed will have the flexibility of integrating the surfactant-containing rinse solution while maintaining the shortest possible cycle time. At the same time these processes will reduce defects and pattern collapse.
The via-first process is unique by the fact that a material is needed to fill the vias to some arbitrary value, with little or no isolated-dense via bias so that the underlying layer underneath the via is protected from the trench etch step. Secondly, this material may have to coat over the surface of the wafer with some chosen thickness again with minimum or no bias to maximize the trench photolithography process window. Finally, the material must be easily removed from the via after the trench etch with no residue, crowning, or fencing. The ideal via fill material would be able to perform all the above listed parameters, but no perfect solution exists yet. The etchback process that is discussed herein, called the solvent etchback (SOLVE) process bypasses these lengthy modules, will fit within today’s manufacturing processes and will have little impact on throughput of the photobay coating tools. The process utilizes industry standard photoresists solvents such as PGMEA, Ethyl Lactate, PGME and existing solvent prewet dispense nozzles in the BARC coater module. Also, this process only requires one material that can both fill the via and act as a BARC during the trench photo step with a user defined thickness on top the wafer that will minimize light reflections coming from the substrate. The process flow for the SOLVE process is: 1. Coat a wafer with a thick BARC to planarize the wafer and minimize isolated-dense bias. 2. Bake the BARC so that it is partially crosslinked.
3. Apply a solvent to the wafer and etchback the BARC to a thickness that suits the trench photo step. 4. Bake the BARC to fully crosslink the BARC. Process variables that can have an affect on the SOLVE process are the softbake temperature and time to modify the BARC thickness on the wafer. Dispense parameters that will modify the post-etch uniformity of the wafer include the dispense time, dispense spin speed and the IDI M450 dispense pressure. The repeatability of the process can be modified by changing the solvent spin off speed and acceleration.
A design of experiment (DOE) was implemented to show the effects of various point of use filters on the coat process. The DOE takes into account the filter media, pore size, and pumping means, such as dispense pressure, time, and spin speed. The coating was executed on a TEL Mark 8 coat track, with an IDI M450 pump, and PALL 16 stack Falcon filters. A KLA 2112 set at 0.69 μm pixel size was used to scan the wafers to detect and identify the defects. The process found for DUV42P to maintain a low defect coating irrespective of the filter or pore size is a high start pressure, low end pressure, low dispense time, and high dispense speed. The IDI M450 pump has the capability to compensate for bubble type defects by venting the defects out of the filter before the defects are in the dispense line and the variable dispense rate allows the material in the dispense line to slow down at the end of dispense and not create microbubbles in the dispense line or tip. Also the differential pressure sensor will alarm if the pressure differential across the filter increases over a user-determined setpoint. The pleat design allows more surface area in the same footprint to reduce the differential pressure across the filter and transport defects to the vent tube. The correct low defect coating process will maximize the advantage of reducing filter pore size or changing the filter media.
As dual damascene process integration continues, Bottom Anti-Reflective Coating (BARC) processing information for partial and full via fill for via-first dual damascene has been an increasing concern. To fill this need a screening design of experiment was used to discover the main factors that would give increased fill and reduce iso-dense bias for both fill and top coverage. This DOE incorporated typical coat and bake module process parameters, such as acceleration, spin speed, and spin time for the dispense, spread and casting steps, and a two stage bake for the bake module. The process steps that were found to affect via fill and iso-dense bias for via fill and top coverage were then used in multi-level process characterizations and are presented here. Multiple viscosities were also tested in these designs to bracket partial via fill processing. Via fill and bias results for via fill and top coverage will be presented for contact vias that have diameters from 300 nm to 160 nm that range in pitch ratios of dense (1:1) to isolated (1:5).
Previous generations of Bottom Anti-Reflective Coatings (BARCs) have had excellent optical properties but the etch performance for these BARC's were only 30% faster than the photoresist at best. A novel BARC chemistry has increased the capability of the photolithography process; this new chemistry has the capability to change etch and optical properties by the BARC bake process. This paper will present the bake process changes required to modify both etch characteristics and optical properties. Etch characteristics that were measured were bulk etch rate and etch selectivity to photoresist. Index of refraction and the absorption coefficients were measured for optical properties. Photolithography results focusing on Acetal and Hybrid photoresist types will be presented with specific attention to critical dimension control and focus latitude shifts, if any, associated with these BARC bake process changes.
This paper describes the development of a new conformal i- line BARC. With the advent of flash memory deices the topography can be greater than 0.5 micrometers . Maintaining CD control through the BARC etch step over such a high topography can be a challenge. In order to meet these needs, Brewer Science has developed a highly conformal, spin bowl compatible BARC with increased baseline etch rate. This new BARC exhibits excellent coverage on high topographies and thus reduces the need for over-etch due to its conformality , and also increase the throughput due to its higher etch rate. As the circuit density on the chip increases copper is being implemented as the metal of choice for interconnects to reduce line resistance in semiconductor devices. This paper also describes the development of an organic BARC for applications in dual damascene processing. Via first dual damascene processes used for copper integration requires materials which can provide anti-reflection properties as well as act as etch blocks by filling the vias. The dual damascene BARC reported in this paper exhibits excellent via fill properties to reduce resist thickness variations as well as provide anti-reflective and via etch block properties. This paper outlines the design, development, and performance characterization of the new i-line BARC platforms for both high topography as well as dual damascene applications in sub 0.35 (Mu) m i-line lithography.
Dual Damascene (DD) process has been implemented in manufacturing semiconductor devices with smaller feature sizes (<EQ 0.20 micrometer), due to increased use of copper as a metal of choice for interconnects. Copper is preferred over aluminum due to its lower resistance which helps to minimize the effects of interconnect delays. Via first DD process is the most commonly used process for manufacturing semiconductor devices since it requires less number of processing steps and also it can make use of a via fill material to minimize the resist thickness variations in the trench patterning photolithography step. Absence of via fill material results in non-uniform fill of vias (in isolated and dense via regions) thus leading to non-uniform focus and dose for exposure of the resist in the deep vias. This results in poor resolution and poor critical dimension (CD) control in the trench-patterning step. When a via fill organic material such as a bottom anti- reflective coating (BARC) is used, then the resist thickness variations are minimized thus enhancing the resolution and CD control in trench patterning. Via fill organic BARC materials can also act as etch blocks at the base of the via to protect the substrate from over etch. In this paper we review the important role of via fill organic BARCs in improving the efficiency of via first DD process now being implemented in semiconductor manufacturing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.