The impact of both intrinsic and plasma-induced stress of a TiN hardmask on line wiggling was investigated via etching of p-SiOCH with 28 nm pitch, line and space (L/S) EUV resist patterning. Experimental stacks included crystalline PVD TiN with an intrinsic stress of +0.1 GPa and several PEALD TiN films with varying crystallinity and intrinsic stresses ranging from -3.6 GPa (compressive) to +0.2 GPa (tensile). Results confirmed that reduction of intrinsic TiN stress can prevent wiggling1 when the mask is not exposed to plasma during process flow. However, when TiN is exposed to plasma as in a typical back end of line (BEOL) process2-3, compressive stress increased in all films and resulted in wiggling even in the patterned PVD TiN sample with low intrinsic stress. This global increase in compressive stress due to plasma exposure did not correlate with intrinsic stress values, therefore, this work suggests a greater focus should be placed on plasma-induced stress to avoid line wiggling when selecting a TiN film. Further investigation found that increased surface roughness of the TiN mask can decrease the risk of wiggling, and that surface roughness is influenced by p-SiOCH etch selectivity, indicating mask surface roughness should also be considered when evaluating line wiggling in BEOL, p-SiOCH etching.
To further enable device scaling in HVM, new patterning materials are needed to meet the more stringent requirements such as line width and edge roughness (LWR and LER), dose sensitivity, pattern collapse, etch resistance and defectivity. The continuous progression of the shrinking of resist feature sizes will be accompanied by the scaling-down of the resist film thickness to prevent pattern collapse and to compensate for low depth-of-focus for high-NA EUV lithography. However, if we reduce the resist film thickness, we must also reduce the underlayer (UL) hardmask film thickness for optimum pattern transfer. As an alternative to spin-on underlayers, deposited ULs can be a potential candidate as it is possible to produce very thin uniformly deposited ULs, with the freedom to incorporate different elements to improve adhesion and modify etch selectivity. In this paper, we will discuss deposited ULs with film thickness scaled down to 3.5 nm for EUV lithography patterning as well as etch performance for pitch 32 and 28 line/space structures. We will also discuss about the possibility to modify the ULs to match the surface energy of the photoresist in use in order to minimize pattern collapse. Additionally, with scaled-down deposited ULs, we were able to obtain very similar post-litho unbiased roughness values (LWR 2.23 nm and LER 1.7 nm) as 10 nm spin-on reference UL (LWR: 2.26 nm and LER 1.66 nm). We will discuss more such details in terms of surface roughness, dose sensitivity, post-litho and post-etch LWR, LER, pattern collapse and defectivity in the presentation. Such ULs could become useful for high-NA EUV lithography when the litho stack is expected to scale down in thickness.
Enhanced EUV lithography (EUVL) resist performance, combined with optimized post processing techniques, are vital to ensure continued scaling and meet the requirements for the industry N5 node and beyond. Sequential infiltration synthesis (SIS) is a post lithography technique that has the potential to significantly improve the EUVL patterning process for stochastic nano-failures and line roughness, both major topics in EUV lithography research. SIS is an ALD-like technique that infiltrates polymeric photoresists, forming a metal framework using the lithography pattern as a template. Hardening of the photoresist improves the pattern quality and gives more flexibility to subsequent pattern transfer steps. We have evaluated the performance of SIS for an EUV Chemically Amplified Resist (CAR) platform printing 32 nm pitch line/space patterns and ultimately structures that are representative of standard semiconductor manufacturing. A combined lithography-SIS-etch process and a standard lithography-etch process were optimized for an industry relevant stack with pattern transfer into a TiN layer. This allows for the first time a justified comparison between a EUVL-SIS and a standard EUVL patterning process, showing the benefits of SIS regarding roughness, exposure latitude and nano-failure mitigation. Power Spectral Density (PSD) analysis accurately demonstrates and explains the type of roughness improvement. Nano-failure analysis is done by measuring large areas at different exposure doses and shows the improvement of the nano-failure free window when applying a EUVL-SIS patterning process. We conclude by examining to which extent combining the best lithography process with an optimized SIS step will lead to a better roughness and nano-failure performance, essential to meeting industry requirements.
The semiconductor industry has been pushing the limits of scalability by combining 193nm immersion lithography with multi-patterning techniques for several years. Those integrations have been declined in a wide variety of options to lower their cost but retain their inherent variability and process complexity. EUV lithography offers a much desired path that allows for direct print of line and space at 36nm pitch and below and effectively addresses issues like cycle time, intra-level overlay and mask count costs associated with multi-patterning. However it also brings its own sets of challenges. One of the major barrier to high volume manufacturing implementation has been hitting the 250W power exposure required for adequate throughput [1]. Enabling patterning using a lower dose resist could help move us closer to the HVM throughput targets assuming required performance for roughness and pattern transfer can be met.
As plasma etching is known to reduce line edge roughness on 193nm lithography printed features [2], we investigate in this paper the level of roughness that can be achieved on EUV photoresist exposed at a lower dose through etch process optimization into a typical back end of line film stack. We will study 16nm lines printed at 32 and 34nm pitch. MOX and CAR photoresist performance will be compared. We will review step by step etch chemistry development to reach adequate selectivity and roughness reduction to successfully pattern the target layer.
KEYWORDS: Optical lithography, Extreme ultraviolet, Metals, Extreme ultraviolet lithography, Scanning electron microscopy, Semiconductors, High volume manufacturing, Back end of line, Photoresist materials, Line edge roughness
Year after year, the semiconductor industry overcomes a tremendous amount of technical challenges to satisfy Moore’s law. Through innovative device architectures, smart design, new integration and patterning concepts, better tools and new materials, the industry has successfully reached the 7nm technology node. Both design and patterning options are identified and the high volume manufacturing readiness is expected end of 2018. Today, the industry is preparing for the 5nm technology node (N5) while research centers start identifying and exploring the different patterning options for the 3nm technology node. The former targets a Metal 2 Pitch (M2P) of 32nm and a Contacted Poly Pitch (CPP) of 42nm while the latter aims for a M2P of 24nm and a CPP of 32nm. At such tight metal pitches and in view of the continuous progress in EUV tool performance, a single print EUV lithography is considered as a potential patterning option for N5 to pattern critical Back-End-Of-Line (BEOL) layers such as block, via and unidirectional metal lines. However, without the emergence of improved EUV photoresist (PR) platform that meets requirements for resolution, line edge roughness and sensitivity, we can expect a very limited available PR budget for pattern transfer (between 12nm and 30nm), an increase of defects such as bridging or line interruptions and finally a degradation of the sidewall roughness. These will contribute to the total CD variation and consume an important part of the overall Edge Placement Error (EPE) budget. Hence, actual patterning methods used to smooth and transfer down the PR pattern must be significantly improved and new solutions must be explored to enable the emergence of advanced technologies.
In this work, we explore different post-lithography methods to overcome challenges related to EUV-based patterning at tight pitches. Both chemically amplified PR and metal-based PR are considered and the performance of the different approaches are evaluated step-by-step using top down SEM imaging, cross-section SEM and 3D-AFM. Finally, we complete the study showing Power Spectral Density (PSD) analysis that help to understand how the roughness is distributed in the frequency domain for the different studied methods.
There is a growing interest in new spin on metal oxide hard mask materials for advanced patterning solutions both in BEOL and FEOL processing. Understanding how these materials respond to plasma conditions may create a competitive advantage. In this study patterning development was done for two challenging FEOL applications where the traditional Si based films were replaced by EMD spin on metal oxides, which acted as highly selective hard masks. The biggest advantage of metal oxide hard masks for advanced patterning lays in the process window improvement at lower or similar cost compared to other existing solutions.
In this work, we present and compare two integration approaches to enable self-alignment of the block suitable for the 5- nm technology node. The first approach is exploring the insertion of a spin-on metal-based material to memorize the first block and act as an etch stop layer in the overall integration. The second approach is evaluating the self-aligned block technology employing widely used organic materials and well-known processes. The concept and the motivation are discussed considering the effects on design and mask count as well as the impact on process complexity and EPE budget. We show the integration schemes and discuss the requirements to enable self-alignment. We present the details of materials and processes selection to allow optimal selective etches and we demonstrate the proof of concept using a 16- nm half-pitch BEOL vehicle. Finally, a study on technology insertion and cost estimation is presented.
Inpria metal-oxide photoresist (PR) serves as a thin spin-on patternable hard mask for EUV lithography. Compared to traditional organic photoresists, the ultrathin metal-oxide photoresist (~12nm after development) effectively mitigates pattern collapse. Because of the high etch resistance of the metal-oxide resist, this may open up significant scope for more aggressive etches, new chemistries, and novel integration schemes. We have previously shown that metal-oxide PR can be successfully used to pattern the block layer for the imec 7-nm technology node[1] and advantageously replace a multiple patterning approach, which significantly reduces the process complexity and effectively decreases the cost. We also demonstrated the formation of 16nm half pitch 1:1 line/space with EUV single print[2], which corresponds to a metal 2 layer for the imec 7-nm technology node. In this paper, we investigate the feasibility of using Inpria’s metal-oxide PR for 16nm line/space patterning. In meanwhile, we also explore the different etch process for LWR smoothing, resist trimming and resist stripping.
In this work, we explore the performances of a low-temperature PEALD technology used to trim/clean/smooth and reshape ArF photoresist lines that could subsequently receive an in-situ spacer deposition required to build up any SAxP grating. Different gas mixtures (O2, N2, H2, Ar and combinations) are evaluated on both blanket and patterned wafers. Trim rate, line profile, surface roughness and chemical modification are characterized using ellipsometry, Fourier transform infrared spectroscopy and atomic force microscopy. The photoresist line roughness is measured from top down SEM imaging and the different contributors to the roughness determined from a Power Spectral Density (PSD) analysis. Few results obtained on EUV photoresist blanket wafers using similar plasma treatments will also be briefly presented.
Si FinFET scaling is getting more difficult due to extremely narrow fin width control and power dissipation. Nanowire FETs and high mobility channel are attractive options for CMOS scaling. Nanowire FETs can maintain good electrostatics with relaxed nanowire diameter. High mobility channel can provide good performance at low power operation. However their fin patterning is challenging due to fins consisted of different materials or fragile high mobility material. Controlled etch and strip are necessary for good fin cd and profile control. Fin height increase is a general trend of scaled FinFETs and nanowire FETs, which makes patterning difficult not only in fin, but also in gate, spacer and replacement metal gate. It is important that gate and spacer etch have high selectivity to fins and good cd and profile control even with high aspect ratio of fin and gate. Work function metal gate patterning in scaled replacement metal gate module needs controlled isotropic etch without damaging gate dielectric. SF6 based etch provides sharp N-P boundary and improved gate reliability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.