The concurrent saturation in dimensional scaling and increase in manufacturing cost and complexity has caused the overall semiconductor manufacturing cost to significantly increase. As a result, the cost per transistor is predicted to sharply increase in future technologies, deviating from the typical 30% cost per transistor reduction. This work explores the use of 3D-integration technologies, as wafer-to-wafer hybrid bonding, for both mobile and high-performance application. It is observed that the use of 3D-integration schemes, can reduce the overall die cost at same functionality, paving the way for cost-effective scaling solutions in future technologies.
Beyond FinFET device nodes, nanosheet is the next transistor architecture in CMOS scaling roadmaps. On top of the newer device architectures and materials, several other CMOS scaling boosters are being considered, and can help in further to improve the power, performance and area scaling. Backside power delivery network (BSPDN) is one of the promising scaling boosters, e.g. it disengages metal routing resources from the frontside, resulting in a lower routing congestion. Hence, the BSPDN booster paves the way for higher frequency and lower area footprint. However, ad-hoc standard cell design and optimization is required to connect the BSPDN network to the logic devices located in the front-end-of-line (FEOL). In this study, the implementation of different connection options to the BSPDN are studied in imec’s A14 nanosheet node: i.e. Through Silicon Via in the Middle of Line (TSVM), buried power rail (BPR) and direct backside contact (BSC). The different implications on standard cell design, as cell track height, routing and main process challenges are then compared to the classic frontside power delivery option. Finally, high-density (HD) standard cell libraries are implemented and characterized. Normalized area and delay comparisons at the library-level are presented. Area gains can rise up to 25% in case of BSC BSPDN option. Furthermore, maximum delay gains can vary up to 20% depending on standard cell type.
To keep up with the pace set by Moore's law, an innovative standard cell architecture called CFET has been proposed recently. Its technical challenge is to stack transistors on top of each other to achieve higher density. Nevertheless, the targeted nodes still require very small dimensions in terms of pitches, critical dimensions (CD) and tip-to-tip, but also in terms of geometries. In this paper we explore the patterning of a 2D local interconnect, Middle of the Line (MOL) layer with aggressive pitches and spaces that has been foreseen as a possible option for this CFET architecture. Multiple patterning solutions are proposed including 1- EUV print with multiple colors, 2- Spacer assisted solutions with multiple cut patterns. Finally, we evaluate the benefit of using 3- High NA EUV lithography as a potential candidate for this type of layer.
KEYWORDS: Semiconducting wafers, Metals, Back end of line, Silver, Optical lithography, Transmission electron microscopy, Nanosheets, Front end of line, Wafer bonding, Semiconductors
The saturation in dimensional scaling has clearly impacted the semiconductor technology roadmap. The extension of patterning cliffs through new tools and multi-patterning lithography, as well as the introduction of innovative scaling boosters is helping in optimally scaling the Power-Performance-Area (PPA) metrics. However, because of the increase in the process complexity and reduced area benefits, manufacturing cost is increasing. Therefore, moving to a PPA-Cost (PPAC) methodology to monitor and analyze the cost of a technology is becoming increasingly necessary.
KEYWORDS: Design and modelling, Metals, Silicon, Electronic design automation, Nanosheets, Back end of line, Simulations, Resistance, Dielectrics, Tungsten
The backside of the silicon substrate is predicted to be heavily exploited by the next generation of integrated circuits to fulfill the increasingly challenging task of delivering current to billions of transistors. The buried power rail presented in this paper represents an enticing way to start transitioning from the frontside to the backside by moving the power rails in the silicon substrate. This is achieved by leveraging the large portions of Shallow Trench Isolation between fin-based devices. A metal layer is added to a conventional BEOL stack to enable this technology in commercial EDA tools for physical implementation and IR drop analysis. A comprehensive PPA evaluation of the buried power rail is performed at the block level, using a 64-bit CPU block and imec A14 nanosheet PDK. Typical physical design parameters are varied in the process to understand the impact of buried power rail in different conditions. The results show performance improvements both in iso-target (from 2% to 3.5%) and maximum frequency (from 9.5% to 12%). Both stem from a 7% shorter wirelength and 16% smaller area. From the IR drop perspective, better results are obtained with the buried rails showing a reduction up to 33% and enabling the use of sparser power delivery structures. This paper shows how moving the power rails to the substrate represents a powerful block-level knob for power delivery network optimization and as a performance booster.
KEYWORDS: Semiconducting wafers, Back end of line, Nanosheets, Logic, Standards development, Metals, Fin field effect transistors, Semiconductors, Optical lithography, Nanotechnology
Fin depopulation, thinner and taller fins, and the step towards Nanosheet technologies has been helping in maintaining the rhythm of the semiconductor technology roadmap. Nevertheless, further area scaling causes a drastic reduction in active width as well as a challenging routability. On this regard, the Complementary-FET is a strong contender as device for next generation technologies. The stack of p- on n-FETs offers several opportunities for device scaling and optimization. However, it also poses several challenges that need to be carefully analyzed in a design-technology cooptimization framework.
KEYWORDS: Metals, Design and modelling, 3D acquisition, Wafer bonding, Dysprosium, Back end of line, Semiconducting wafers, Electronic design automation, Logic, 3D image capture
Fine-pitch 3D integration is considered a promising way to advance traditional CMOS scaling as 3D interconnects are currently capable to match the connectivity among functional sub-blocks of a system, enabling their displacement on different tiers. A major bottleneck for 3D ICs is represented by the power delivery, due to the challenge of supplying multiple dies. This work aims to provide insights into the system-level impact of PDN in a 3D chip, in terms of frequency and IR drop. A highly-interconnected memory-dominated SoC is physically implemented using the same 2nm technology in 2D and 3D. For both options, the results are compared with an ideal PDN-less implementation, showing that 3D-induced frequency (up to 9.3%) and wirelength (∼ 10%) benefits are retained upon PDN insertion. From the power integrity perspective, a ∼ 60mV dynamic IR drop improvement is observed in 3D, compared to a conventional frontside PDN in 2D, when considering the 90th percentile of a cumulative distribution function. This work validates the expected technology-driven benefits of 3D integration at the system physical design level, in a realistic environment including a 3D PDN.
In order to improve logic via printing we propose staggered vias to effectively regularize randomly placed vias in a typical logic design. We accomplish this (i) by forcing via placement on a staggered sub-grid of the standard manhattan grid and (ii) by placing smaller fixed-size via Sub-Resolution Assist Features (SRAFs) on all remaining empty positions of the staggered grid. We devised a methodology to create such staggered via placement in a standard Place&Route (PNR) design flow and evaluated the concept on a 64-bit (64b) ARM core implementation through a PowerPerformance-Area (PPA) analysis. From a PNR run-time perspective and PPA analysis this looked a very viable implementation with little to no disadvantages compared to standard via placement. Finally, to experimentally test and compare staggered vias and against standard manhattan vias, we designed a via mask with both staggered and standard manhattan vias patterns and exposed them on an 0.33NA NXE3400 EUV lithography system. Analysis of experimental results on a 38nm via pitch show 40% smaller best-focus shift across the slit, and 20% smaller via-via CD variation for staggered vias compared to Manhattan vias with regular SMO.
KEYWORDS: Metals, Optical lithography, Fin field effect transistors, Extreme ultraviolet, Standards development, Silicon, Line edge roughness, Computer architecture, Process control
The targeted N3 technology node at IMEC is being redefined with respect to the poly pitch, as compared to the previous node definitions [1,2]. The overall industry trend of poly pitch scaling is slowing down, due to difficulties in keeping pace with device performance and yield issues. However, the metal pitch continues to scale down, which implies that direct pitch scaling will not lead to the most optimum scaling. Therefore, Standard Cell (SDC) track height reduction is a knob that can be used to achieve advances in the scaling of the technology to preserve Moore’s law. Here we present some of the options for the standard cell design that can enable an N3 technology node by using Design-Technology cooptimization (DTCO).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.